logo - 刷刷题
下载APP
计算机组成与CPU设计实验(江苏大学)
计算机组成与CPU设计实验(江苏大学) - 刷刷题
题数
168
售价
¥30
收藏
分享
去刷题
章节目录
简介
...更多
题目预览
【多选题】
[1/168]哪种编码方式在相邻状态转换时只有一个状态位发生翻转
A.
独热编码one-hot
B.
约翰逊码Johnson
C.
格雷码Gray
D.
二进制编码Sequential
参考答案:
B C
参考解析:
【判断题】
[2/168]SystemVerilog包含了Verilog。​
A.
正确
B.
错误
参考答案:
A
参考解析:
【单选题】
[3/168]数字逻辑电路有两大种类型,组合逻辑电路和时序逻辑电路,组合逻辑电路“没有记忆”,输出由输入决定,时序逻辑电路“有记忆”,输出不仅和当前输入有关,还跟以...
A.
正确
B.
错误
参考答案:
A
参考解析:
【多选题】
[4/168]从下面选出4选1多路器的描述
A.
module labx ( input In0,In1,In2,IN3, input [1:0] Sel, output logic Out); always_comb case(Sel) 2'b00: Out=In0; 2'b01: Out=In1; 2'b10: Out=In2; 2'b11: Out=In3; default: Out=1'bx; endcase endmodule
B.
module labx(input [3:0] In,input [1:0] Sel,output Out);assign Out = In[Sel];endmodule
C.
module labx ( output [3:0] S, output CO, input [3:0] A,B, input CI); assigan {CO,S[3:0]}=A+B+CI; endmodule
D.
module labx (input logic [3:0] In,output logic [1:0] Out ); always_comb casez (In) 4’b???1: Out = 2'b00; 4’b??10: Out = 2'b01; 4’b?100: Out = 2'b10; 4’b1000: Out = 2'b11; default: Out = 2’bxx; endcaseendmodule
参考答案:
A B
参考解析:
【单选题】
[5/168]开关S5控制的数码管段的编号是
A.
段f
B.
段b
C.
段c
D.
段h(小数点)
参考答案:
A
参考解析:
【简答题】
[6/168]使用远程实验平台虚拟面板验证流水灯 根据设计要求,并行装载是将输入端数据装入到移位寄存器,并行装载的时钟使能信号是________(iLoad/iEn...
参考答案:
iLoad#iEnable
参考解析:
【单选题】
[7/168]实验任务2用32*32的RAM实现寄存器堆,选出合适的存储器描述
A.
localparam MEMDEPTH=1<<5 logic [4:0] mem [0:MEMDEPTH]
B.
localparam MEMDEPTH=2**5; logic [4:0] mem [0:MEMDEPTH-1];
C.
localparam MEMDEPTH=32; logic [31:0] mem [0:MEMDEPTH];
D.
localparam MEMDEPTH=1<<5 logic [31:0] mem [MEMDEPTH-1]
参考答案:
D
参考解析:
【单选题】
[8/168]if-else和case语句都可以实现分支结构, if-else语句有优先级,生成串行结构,延迟相等 case语句描述并行结构,电路延迟大。
A.
正确
B.
错误
参考答案:
B
参考解析:
【多选题】
[9/168]加减运算电路参考设计中,如果操作数 {y3,y2,y1,y0}=4'b0101,选出下列描述正确的选项​
A.
当M=1时,C0=1,{b3,b2,b1,b0}的值为1010
B.
当M=0时,C0=0,{b3,b2,b1,b0}的值为1010
C.
当M=0时,C0=0,{b3,b2,b1,b0}的值为0101
D.
当M=1时,C0=1,{b3,b2,b1,b0}的值为0101
参考答案:
A C
参考解析:
【简答题】
[10/168]使用Memory标签可以打开存储器视图,使用该视图最下方的go to section,可以选择要观察的存储器区域,其中:选择Address..., 表...
参考答案:
察看输入的任意目标地址#看代码段#看数据段#十六进制
参考解析: